Sunday, January 15, 2012

0 to 9999 Up Down Counter with diffrent logic.

The code is designed using the 'VHDL function' to convert integer to bcd, more specifically binary to bcd.

Features:
  • Clock based as well as manual count
  • Up and down count controlled using switch
  • Push button to count manually
  • LED to display count mode
  • Asynchronous reset
Post your comments, queries and suggestions
 
[Download links for project files are given below]
Check Download Section or download from below.

DE1 complete project: BCDUDOWNCOUNTER.zip

Main entity: bcd_up_down_counter.vhd

Components: manu_clk.vhd
                    seg7.vhd

1 comment:

  1. hello, how do the pinning of the display that's being 7-segment display, as the name says proprio for the 7-pin, 21-pin and the other displays the 3 remaining, thanks

    ReplyDelete

Website is being updated with new UI!

Hi E veryone , Pardon me. It took me very long to get back on managing this website. You all during some part of a time in your life, you ...